From dd7bbc4a66af2f81e87af5c1b4c1f48c96275f46 Mon Sep 17 00:00:00 2001 From: Tobias Girstmair Date: Tue, 13 Nov 2018 00:49:31 +0100 Subject: [PATCH 1/1] new version --- foo.c | 1 + 1 file changed, 1 insertion(+) diff --git a/foo.c b/foo.c index 4edaa89..77e9f65 100644 --- a/foo.c +++ b/foo.c @@ -75,5 +75,6 @@ int main(void) { ADC (i1, tmp, !i0) ADC (i2, tmp, !i0&&!i1) ADC (i3, tmp, !i0&&!i1&&!i2) + #undef tmp } } -- 2.39.3